Samsung Electronics Co Ltd (SSNLF  ) unveiled its latest foundry innovations and detailed its strategic vision for the AI era at the Samsung Foundry Forum (SFF) in San Jose, California.

The company introduced new process nodes and an integrated AI solutions platform, showcasing the synergy of its Foundry, Memory, and Advanced Package (AVP) businesses.

The theme of the event, "Empowering the AI Revolution," highlighted Samsung's commitment to advancing semiconductor technology crucial for AI applications.

New nodes, SF2Z and SF4U, were announced, which promise enhanced performance for AI chip production.

Siyoung Choi, President and Head of Foundry Business at Samsung Electronics, said, "At a time when numerous technologies are evolving around AI, the key to its implementation lies in high-performance, low-power semiconductors."

"Alongside our proven GAA process optimized for AI chips, we plan to introduce integrated, co-packaged optics (CPO) technology for high-speed, low-power data processing, providing our customers with the one-stop AI solutions they need to thrive in this transformative era."

The forum was a display of strong industry alliances, with leaders like Rene Haas from Arm Holdings PLC (ARM  ) and Jonathan Ross from Groq underscoring their partnerships with Samsung.

Samsung's roadmap includes the SF2Z node, employing backside power delivery for enhanced performance, slated for mass production in 2027.

The SF4U node is set for earlier production in 2025, offering cost-effective solutions for high-performance computing designs.

The maturity of Samsung's gate-all-around (GAA) technology was another focal point, with plans to implement this in the upcoming 2nm and 3nm processes.

This technology has been pivotal in enhancing the yield and performance of Samsung's semiconductor outputs since its mass production began in 2022.

These advancements are part of Samsung's strategy to enhance its position in the competitive foundry market, currently dominated by Taiwan Semiconductor Manufacturing Co Ltd (TSM  ), reported Bloomberg.

The company is pushing to improve its market share in the foundry sector, where it currently holds 11% compared to TSMC's 61.7%, according to TrendForce, the report highlighted.

Samsung introduced its advanced backside power delivery network (BSPDN) technology, which optimizes power distribution by placing power rails on the back of silicon wafers.

This new approach not only enhances chip performance but also reduces voltage drop, improving the efficiency of AI processors.

The South Korean giant is ambitiously targeting a substantial increase in AI-related clientele and revenue, per the report.